Random-access memory

Random-access memory (RAM; /ræm/) is a form of electronic computer memory that can be read and changed in any order, typically used to store working data and machine code.[1][2] A random-access memory device allows data items to be read or written in almost the same amount of time irrespective of the physical location of data inside the memory, in contrast with other direct-access data storage media (such as hard disks and magnetic tape), where the time required to read and write data items varies significantly depending on their physical locations on the recording medium, due to mechanical limitations such as media rotation speeds and arm movement.

A 64 bit memory chip die, the SP95 Phase 2 Buffer Memory produced at IBM mid 60s, versus memory core iron rings
Example of writable volatile random-access memory: Synchronous Dynamic RAM modules, primarily used as main memory in personal computers, workstations, and servers.
8GB DDR3 RAM stick with a white heatsink

In today's technology, random-access memory takes the form of integrated circuit (IC) chips with MOS (metal–oxide–semiconductor) memory cells. RAM is normally associated with volatile types of memory where stored information is lost if power is removed. The two main types of volatile random-access semiconductor memory are static random-access memory (SRAM) and dynamic random-access memory (DRAM).

Non-volatile RAM has also been developed[3]and other types of non-volatile memories allow random access for read operations, but either do not allow write operations or have other kinds of limitations on them. These include most types of ROM and a type of flash memory called NOR-Flash.

Use of semiconductor RAM dated back to 1965, when IBM introduced the monolithic (single-chip) 16-bit SP95 SRAM chip for their System/360 Model 95 computer, and Toshiba used discrete DRAM memory cells for its 180-bit Toscal BC-1411 electronic calculator, both based on bipolar transistors. While it offered higher speeds than magnetic-core memory, bipolar DRAM could not compete with the lower price of the then-dominant magnetic-core memory.[4]

MOS memory, based on MOS transistors, was developed in the late 1960s, and was the basis for all early commercial semiconductor memory. The first commercial DRAM IC chip, the 1K Intel 1103, was introduced in October 1970.

Synchronous dynamic random-access memory (SDRAM) later debuted with the Samsung KM48SL2000 chip in 1992.

History

These IBM tabulating machines from the mid-1930s used mechanical counters to store information.
1-megabit (Mbit) chip, one of the last models developed by VEB Carl Zeiss Jena in 1989

Early computers used relays, mechanical counters[5] or delay lines for main memory functions. Ultrasonic delay lines were serial devices which could only reproduce data in the order it was written. Drum memory could be expanded at relatively low cost but efficient retrieval of memory items requires knowledge of the physical layout of the drum to optimize speed. Latches built out of vacuum tube triodes, and later, out of discrete transistors, were used for smaller and faster memories such as registers. Such registers were relatively large and too costly to use for large amounts of data; generally only a few dozen or few hundred bits of such memory could be provided.

The first practical form of random-access memory was the Williams tube starting in 1947. It stored data as electrically charged spots on the face of a cathode-ray tube. Since the electron beam of the CRT could read and write the spots on the tube in any order, memory was random access. The capacity of the Williams tube was a few hundred to around a thousand bits, but it was much smaller, faster, and more power-efficient than using individual vacuum tube latches. Developed at the University of Manchester in England, the Williams tube provided the medium on which the first electronically stored program was implemented in the Manchester Baby computer, which first successfully ran a program on 21 June, 1948.[6] In fact, rather than the Williams tube memory being designed for the Baby, the Baby was a testbed to demonstrate the reliability of the memory.[7][8]

Magnetic-core memory was invented in 1947 and developed up until the mid-1970s. It became a widespread form of random-access memory, relying on an array of magnetized rings. By changing the sense of each ring's magnetization, data could be stored with one bit stored per ring. Since every ring had a combination of address wires to select and read or write it, access to any memory location in any sequence was possible. Magnetic core memory was the standard form of computer memory system until displaced by solid-state MOS (metal–oxide–silicon) semiconductor memory in integrated circuits (ICs) during the early 1970s.[9]

Prior to the development of integrated read-only memory (ROM) circuits, permanent (or read-only) random-access memory was often constructed using diode matrices driven by address decoders, or specially wound core rope memory planes.[citation needed]

Semiconductor memory began in the 1960s with bipolar memory, which used bipolar transistors. Although it was faster, it could not compete with the lower price of magnetic core memory.[4]

MOS RAM

The invention of the MOSFET (metal–oxide–semiconductor field-effect transistor), also known as the MOS transistor, by Mohamed M. Atalla and Dawon Kahng at Bell Labs in 1959,[10] led to the development of metal–oxide–semiconductor (MOS) memory by John Schmidt at Fairchild Semiconductor in 1964.[9][11] In addition to higher speeds, MOS semiconductor memory was cheaper and consumed less power than magnetic core memory.[9] The development of silicon-gate MOS integrated circuit (MOS IC) technology by Federico Faggin at Fairchild in 1968 enabled the production of MOS memory chips.[12] MOS memory overtook magnetic core memory as the dominant memory technology in the early 1970s.[9]

An integrated bipolar static random-access memory (SRAM) was invented by Robert H. Norman at Fairchild Semiconductor in 1963.[13] It was followed by the development of MOS SRAM by John Schmidt at Fairchild in 1964.[9] SRAM became an alternative to magnetic-core memory, but required six MOS transistors for each bit of data.[14] Commercial use of SRAM began in 1965, when IBM introduced the SP95 memory chip for the System/360 Model 95.[4]

Dynamic random-access memory (DRAM) allowed replacement of a 4 or 6-transistor latch circuit by a single transistor for each memory bit, greatly increasing memory density at the cost of volatility. Data was stored in the tiny capacitance of each transistor, and had to be periodically refreshed every few milliseconds before the charge could leak away. Toshiba's Toscal BC-1411 electronic calculator, which was introduced in 1965,[15][16][17] used a form of capacitive bipolar DRAM, storing 180-bit data on discrete memory cells, consisting of germanium bipolar transistors and capacitors.[16][17]

MOS technology is the basis for modern DRAM. In 1966, Dr. Robert H. Dennard at the IBM Thomas J. Watson Research Center was working on MOS memory. While examining the characteristics of MOS technology, he found it was capable of building capacitors, and that storing a charge or no charge on the MOS capacitor could represent the 1 and 0 of a bit, while the MOS transistor could control writing the charge to the capacitor. This led to his development of a single-transistor DRAM memory cell.[14] In 1967, Dennard filed a patent under IBM for a single-transistor DRAM memory cell, based on MOS technology.[18] The first commercial DRAM IC chip was the Intel 1103, which was manufactured on an 8 μm MOS process with a capacity of 1 kbit, and was released in 1970.[9][19][20]

Synchronous dynamic random-access memory (SDRAM) was developed by Samsung Electronics. The first commercial SDRAM chip was the Samsung KM48SL2000, which had a capacity of 16 Mbit.[21] It was introduced by Samsung in 1992,[22] and mass-produced in 1993.[21] The first commercial DDR SDRAM (double data rate SDRAM) memory chip was Samsung's 64 Mbit DDR SDRAM chip, released in June 1998.[23] GDDR (graphics DDR) is a form of DDR SGRAM (synchronous graphics RAM), which was first released by Samsung as a 16 Mbit memory chip in 1998.[24]

Types

The two widely used forms of modern RAM are static RAM (SRAM) and dynamic RAM (DRAM). In SRAM, a bit of data is stored using the state of a six-transistor memory cell, typically using six MOSFETs. This form of RAM is more expensive to produce, but is generally faster and requires less dynamic power than DRAM. In modern computers, SRAM is often used as cache memory for the CPU. DRAM stores a bit of data using a transistor and capacitor pair (typically a MOSFET and MOS capacitor, respectively),[25] which together comprise a DRAM cell. The capacitor holds a high or low charge (1 or 0, respectively), and the transistor acts as a switch that lets the control circuitry on the chip read the capacitor's state of charge or change it. As this form of memory is less expensive to produce than static RAM, it is the predominant form of computer memory used in modern computers.

Both static and dynamic RAM are considered volatile, as their state is lost or reset when power is removed from the system. By contrast, read-only memory (ROM) stores data by permanently enabling or disabling selected transistors, such that the memory cannot be altered. Writable variants of ROM (such as EEPROM and NOR flash) share properties of both ROM and RAM, enabling data to persist without power and to be updated without requiring special equipment. ECC memory (which can be either SRAM or DRAM) includes special circuitry to detect and/or correct random faults (memory errors) in the stored data, using parity bits or error correction codes.

In general, the term RAM refers solely to solid-state memory devices (either DRAM or SRAM), and more specifically the main memory in most computers. In optical storage, the term DVD-RAM is somewhat of a misnomer since, it is not random access; it behaves much like a hard disc drive if somewhat slower. Aside, unlike CD-RW or DVD-RW, DVD-RAM does not need to be erased before reuse.

Memory cell

The memory cell is the fundamental building block of computer memory. The memory cell is an electronic circuit that stores one bit of binary information and it must be set to store a logic 1 (high voltage level) and reset to store a logic 0 (low voltage level). Its value is maintained/stored until it is changed by the set/reset process. The value in the memory cell can be accessed by reading it.

In SRAM, the memory cell is a type of flip-flop circuit, usually implemented using FETs. This means that SRAM requires very low power when not being accessed, but it is expensive and has low storage density.

A second type, DRAM, is based around a capacitor. Charging and discharging this capacitor can store a "1" or a "0" in the cell. However, the charge in this capacitor slowly leaks away, and must be refreshed periodically. Because of this refresh process, DRAM uses more power, but it can achieve greater storage densities and lower unit costs compared to SRAM.

SRAM Cell (6 Transistors)
DRAM Cell (1 Transistor and one capacitor)

Addressing

To be useful, memory cells must be readable and writable. Within the RAM device, multiplexing and demultiplexing circuitry is used to select memory cells. Typically, a RAM device has a set of address lines , and for each combination of bits that may be applied to these lines, a set of memory cells are activated. Due to this addressing, RAM devices virtually always have a memory capacity that is a power of two.

Usually several memory cells share the same address. For example, a 4 bit 'wide' RAM chip has 4 memory cells for each address. Often the width of the memory and that of the microprocessor are different, for a 32 bit microprocessor, eight 4 bit RAM chips would be needed.

Often more addresses are needed than can be provided by a device. In that case, external multiplexors to the device are used to activate the correct device that is being accessed.

Memory hierarchy

One can read and over-write data in RAM. Many computer systems have a memory hierarchy consisting of processor registers, on-die SRAM caches, external caches, DRAM, paging systems and virtual memory or swap space on a hard drive. This entire pool of memory may be referred to as "RAM" by many developers, even though the various subsystems can have very different access times, violating the original concept behind the random access term in RAM. Even within a hierarchy level such as DRAM, the specific row, column, bank, rank, channel, or interleave organization of the components make the access time variable, although not to the extent that access time to rotating storage media or a tape is variable. The overall goal of using a memory hierarchy is to obtain the fastest possible average access time while minimizing the total cost of the entire memory system (generally, the memory hierarchy follows the access time with the fast CPU registers at the top and the slow hard drive at the bottom).

In many modern personal computers, the RAM comes in an easily upgraded form of modules called memory modules or DRAM modules about the size of a few sticks of chewing gum. These can be quickly replaced should they become damaged or when changing needs demand more storage capacity. As suggested above, smaller amounts of RAM (mostly SRAM) are also integrated in the CPU and other ICs on the motherboard, as well as in hard-drives, CD-ROMs, and several other parts of the computer system.

Other uses of RAM

A SO-DIMM stick of laptop RAM, roughly half the size of desktop RAM

In addition to serving as temporary storage and working space for the operating system and applications, RAM is used in numerous other ways.

Virtual memory

Most modern operating systems employ a method of extending RAM capacity, known as "virtual memory". A portion of the computer's hard drive is set aside for a paging file or a scratch partition, and the combination of physical RAM and the paging file form the system's total memory. (For example, if a computer has 2 GB (10243 B) of RAM and a 1 GB page file, the operating system has 3 GB total memory available to it.) When the system runs low on physical memory, it can "swap" portions of RAM to the paging file to make room for new data, as well as to read previously swapped information back into RAM. Excessive use of this mechanism results in thrashing and generally hampers overall system performance, mainly because hard drives are far slower than RAM.

RAM disk

Software can "partition" a portion of a computer's RAM, allowing it to act as a much faster hard drive that is called a RAM disk. A RAM disk loses the stored data when the computer is shut down, unless memory is arranged to have a standby battery source, or changes to the RAM disk are written out to a nonvolatile disk. The RAM disk is reloaded from the physical disk upon RAM disk initialization.

Shadow RAM

Sometimes, the contents of a relatively slow ROM chip are copied to read/write memory to allow for shorter access times. The ROM chip is then disabled while the initialized memory locations are switched in on the same block of addresses (often write-protected). This process, sometimes called shadowing, is fairly common in both computers and embedded systems.

As a common example, the BIOS in typical personal computers often has an option called "use shadow BIOS" or similar. When enabled, functions that rely on data from the BIOS's ROM instead use DRAM locations (most can also toggle shadowing of video card ROM or other ROM sections). Depending on the system, this may not result in increased performance, and may cause incompatibilities. For example, some hardware may be inaccessible to the operating system if shadow RAM is used. On some systems the benefit may be hypothetical because the BIOS is not used after booting in favor of direct hardware access. Free memory is reduced by the size of the shadowed ROMs.[26]

Memory wall

The "memory wall" is the growing disparity of speed between CPU and the response time of memory (known as memory latency) outside the CPU chip. An important reason for this disparity is the limited communication bandwidth beyond chip boundaries, which is also referred to as bandwidth wall. From 1986 to 2000, CPU speed improved at an annual rate of 55% while off-chip memory response time only improved at 10%. Given these trends, it was expected that memory latency would become an overwhelming bottleneck in computer performance.[27]

Another reason for the disparity is the enormous increase in the size of memory since the start of the PC revolution in the 1980s. Originally, PCs contained less than 1 mebibyte of RAM, which often had a response time of 1 CPU clock cycle, meaning that it required 0 wait states. Larger memory units are inherently slower than smaller ones of the same type, simply because it takes longer for signals to traverse a larger circuit. Constructing a memory unit of many gibibytes with a response time of one clock cycle is difficult or impossible. Today's CPUs often still have a mebibyte of 0 wait state cache memory, but it resides on the same chip as the CPU cores due to the bandwidth limitations of chip-to-chip communication. It must also be constructed from static RAM, which is far more expensive than the dynamic RAM used for larger memories. Static RAM also consumes far more power.

CPU speed improvements slowed significantly partly due to major physical barriers and partly because current CPU designs have already hit the memory wall in some sense. Intel summarized these causes in a 2005 document.[28]

First of all, as chip geometries shrink and clock frequencies rise, the transistor leakage current increases, leading to excess power consumption and heat... Secondly, the advantages of higher clock speeds are in part negated by memory latency, since memory access times have not been able to keep pace with increasing clock frequencies. Third, for certain applications, traditional serial architectures are becoming less efficient as processors get faster (due to the so-called Von Neumann bottleneck), further undercutting any gains that frequency increases might otherwise buy. In addition, partly due to limitations in the means of producing inductance within solid state devices, resistance-capacitance (RC) delays in signal transmission are growing as feature sizes shrink, imposing an additional bottleneck that frequency increases don't address.

The RC delays in signal transmission were also noted in "Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures"[29] which projected a maximum of 12.5% average annual CPU performance improvement between 2000 and 2014.

A different concept is the processor-memory performance gap, which can be addressed by 3D integrated circuits that reduce the distance between the logic and memory aspects that are further apart in a 2D chip.[30] Memory subsystem design requires a focus on the gap, which is widening over time.[31] The main method of bridging the gap is the use of caches; small amounts of high-speed memory that houses recent operations and instructions nearby the processor, speeding up the execution of those operations or instructions in cases where they are called upon frequently. Multiple levels of caching have been developed to deal with the widening gap, and the performance of high-speed modern computers relies on evolving caching techniques.[32] There can be up to a 53% difference between the growth in speed of processor and the lagging speed of main memory access.[33]

Solid-state hard drives have continued to increase in speed, from ~400 Mbit/s via SATA3 in 2012 up to ~3 GB/s via NVMe/PCIe in 2018, closing the gap between RAM and hard disk speeds, although RAM continues to be an order of magnitude faster, with single-lane DDR4 3200 capable of 25 GB/s, and modern GDDR even faster. Fast, cheap, non-volatile solid state drives have replaced some functions formerly performed by RAM, such as holding certain data for immediate availability in server farms - 1 terabyte of SSD storage can be had for $200, while 1 TB of RAM would cost thousands of dollars.[34][35]

Timeline

SRAM

Static random-access memory (SRAM)
Date of introductionChip nameCapacity (bits)Access timeSRAM typeManufacturer(s)ProcessMOSFETRef
March 19631?Bipolar (cell)Fairchild[4]
1965?8?BipolarIBM?
SP9516?BipolarIBM?[36]
?64?MOSFETFairchild?PMOS[37]
1966TMC316216?Bipolar (TTL)Transitron?[9]
???MOSFETNEC??[38]
1968?64?MOSFETFairchild?PMOS[38]
144?MOSFETNEC?NMOS
512?MOSFETIBM?NMOS[37]
1969?128?BipolarIBM?[4]
1101256850 nsMOSFETIntel12,000 nmPMOS[39][40][41][42]
197221021 kbit?MOSFETIntel?NMOS[39]
197451011 kbit800 nsMOSFETIntel?CMOS[39][43]
2102A1 kbit350 nsMOSFETIntel?NMOS (depletion)[39][44]
197521144 kbit450 nsMOSFETIntel?NMOS[39][43]
197621151 kbit70 nsMOSFETIntel?NMOS (HMOS)[39][40]
21474 kbit55 nsMOSFETIntel?NMOS (HMOS)[39][45]
1977?4 kbit?MOSFETToshiba?CMOS[40]
1978HM61474 kbit55 nsMOSFETHitachi3,000 nmCMOS (twin-well)[45]
TMS401616 kbit?MOSFETTexas Instruments?NMOS[40]
1980?16 kbit?MOSFETHitachi, Toshiba?CMOS[46]
64 kbit?MOSFETMatsushita
1981?16 kbit?MOSFETTexas Instruments2,500 nmNMOS[46]
October 1981?4 kbit18 nsMOSFETMatsushita, Toshiba2,000 nmCMOS[47]
1982?64 kbit?MOSFETIntel1,500 nmNMOS (HMOS)[46]
February 1983?64 kbit50 nsMOSFETMitsubishi?CMOS[48]
1984?256 kbit?MOSFETToshiba1,200 nmCMOS[46][41]
1987?1 Mbit?MOSFETSony, Hitachi, Mitsubishi, Toshiba?CMOS[46]
December 1987?256 kbit10 nsBiMOSTexas Instruments800 nmBiCMOS[49]
1990?4 Mbit15–23 nsMOSFETNEC, Toshiba, Hitachi, Mitsubishi?CMOS[46]
1992?16 Mbit12–15 nsMOSFETFujitsu, NEC400 nm
December 1994?512 kbit2.5 nsMOSFETIBM?CMOS (SOI)[50]
1995?4 Mbit6 nsCache (SyncBurst)Hitachi100 nmCMOS[51]
256 Mbit?MOSFETHyundai?CMOS[52]

DRAM

Dynamic random-access memory (DRAM)
Date of introductionChip nameCapacity (bits)DRAM typeManufacturer(s)ProcessMOSFETAreaRef
19651 bitDRAM (cell)Toshiba[16][17]
19671 bitDRAM (cell)IBMMOS[18][38]
1968?256 bitDRAM (IC)Fairchild?PMOS?[9]
19691 bitDRAM (cell)IntelPMOS[38]
197011021 kbitDRAM (IC)Intel, Honeywell?PMOS?[38]
11031 kbitDRAMIntel8,000 nmPMOS10 mm2[53][54][19]
1971μPD4031 kbitDRAMNEC?NMOS?[55]
?2 kbitDRAMGeneral Instrument?PMOS13 mm2[56]
197221074 kbitDRAMIntel?NMOS?[39][57]
1973?8 kbitDRAMIBM?PMOS19 mm2[56]
1975211616 kbitDRAMIntel?NMOS?[58][9]
1977?64 kbitDRAMNTT?NMOS35 mm2[56]
1979MK481616 kbitPSRAMMostek?NMOS?[59]
?64 kbitDRAMSiemens?VMOS25 mm2[56]
1980?256 kbitDRAMNEC, NTT1,000–1,500 nmNMOS34–42 mm2[56]
1981?288 kbitDRAMIBM?MOS25 mm2[60]
1983?64 kbitDRAMIntel1,500 nmCMOS20 mm2[56]
256 kbitDRAMNTT?CMOS31 mm2
January 5, 1984?8 MbitDRAMHitachi?MOS?[61][62]
February 1984?1 MbitDRAMHitachi, NEC1,000 nmNMOS74–76 mm2[56][63]
NTT800 nmCMOS53 mm2[56][63]
1984TMS416164 kbitDPRAM (VRAM)Texas Instruments?NMOS?[64][65]
January 1985μPD41264256 kbitDPRAM (VRAM)NEC?NMOS?[66][67]
June 1986?1 MbitPSRAMToshiba?CMOS?[68]
1986?4 MbitDRAMNEC800 nmNMOS99 mm2[56]
Texas Instruments, Toshiba1,000 nmCMOS100–137 mm2
1987?16 MbitDRAMNTT700 nmCMOS148 mm2[56]
October 1988?512 kbitHSDRAMIBM1,000 nmCMOS78 mm2[69]
1991?64 MbitDRAMMatsushita, Mitsubishi, Fujitsu, Toshiba400 nmCMOS?[46]
1993?256 MbitDRAMHitachi, NEC250 nmCMOS?
1995?4 MbitDPRAM (VRAM)Hitachi?CMOS?[51]
January 9, 1995?1 GbitDRAMNEC250 nmCMOS?[70][51]
Hitachi160 nmCMOS?
1996?4 MbitFRAMSamsung?NMOS?[71]
1997?4 GbitQLCNEC150 nmCMOS?[46]
1998?4 GbitDRAMHyundai?CMOS?[52]
June 2001TC51W3216XB32 MbitPSRAMToshiba?CMOS?[72]
February 2001?4 GbitDRAMSamsung100 nmCMOS?[46][73]

SDRAM

Synchronous dynamic random-access memory (SDRAM)
Date of introductionChip nameCapacity (bits)[74]SDRAM typeManufacturer(s)ProcessMOSFETAreaRef
1992KM48SL200016 MbitSDRSamsung?CMOS?[75][21]
1996MSM5718C5018 MbitRDRAMOki?CMOS325 mm2[76]
N64 RDRAM36 MbitRDRAMNEC?CMOS?[77]
?1024 MbitSDRMitsubishi150 nmCMOS?[46]
1997?1024 MbitSDRHyundai?SOI?[52]
1998MD576480264 MbitRDRAMOki?CMOS325 mm2[76]
March 1998Direct RDRAM72 MbitRDRAMRambus?CMOS?[78]
June 1998?64 MbitDDRSamsung?CMOS?[79][80][81]
1998?64 MbitDDRHyundai?CMOS?[52]
128 MbitSDRSamsung?CMOS?[82][80]
1999?128 MbitDDRSamsung?CMOS?[80]
1024 MbitDDRSamsung140 nmCMOS?[46]
2000GS eDRAM32 MbiteDRAMSony, Toshiba180 nmCMOS279 mm2[83]
2001?288 MbitRDRAMHynix?CMOS?[84]
?DDR2Samsung100 nmCMOS?[81][46]
2002?256 MbitSDRHynix?CMOS?[84]
2003EE+GS eDRAM32 MbiteDRAMSony, Toshiba90 nmCMOS86 mm2[83]
?72 MbitDDR3Samsung90 nmCMOS?[85]
512 MbitDDR2Hynix?CMOS?[84]
Elpida110 nmCMOS?[86]
1024 MbitDDR2Hynix?CMOS?[84]
2004?2048 MbitDDR2Samsung80 nmCMOS?[87]
2005EE+GS eDRAM32 MbiteDRAMSony, Toshiba65 nmCMOS86 mm2[88]
Xenos eDRAM80 MbiteDRAMNEC90 nmCMOS?[89]
?512 MbitDDR3Samsung80 nmCMOS?[81][90]
2006?1024 MbitDDR2Hynix60 nmCMOS?[84]
2008??LPDDR2Hynix?
April 2008?8192 MbitDDR3Samsung50 nmCMOS?[91]
2008?16384 MbitDDR3Samsung50 nmCMOS?
2009??DDR3Hynix44 nmCMOS?[84]
2048 MbitDDR3Hynix40 nm
2011?16384 MbitDDR3Hynix40 nmCMOS?[92]
2048 MbitDDR4Hynix30 nmCMOS?[92]
2013??LPDDR4Samsung20 nmCMOS?[92]
2014?8192 MbitLPDDR4Samsung20 nmCMOS?[93]
2015?12 GbitLPDDR4Samsung20 nmCMOS?[82]
2018?8192 MbitLPDDR5Samsung10 nmFinFET?[94]
128 GbitDDR4Samsung10 nmFinFET?[95]

SGRAM and HBM

Synchronous graphics random-access memory (SGRAM) and High Bandwidth Memory (HBM)
Date of introductionChip nameCapacity (bits)[74]SDRAM typeManufacturer(s)ProcessMOSFETAreaRef
November 1994HM52832068 MbitSGRAM (SDR)Hitachi350 nmCMOS58 mm2[96][97]
December 1994μPD4818508 MbitSGRAM (SDR)NEC?CMOS280 mm2[98][99]
1997μPD481165016 MbitSGRAM (SDR)NEC350 nmCMOS280 mm2[100][101]
September 1998?16 MbitSGRAM (GDDR)Samsung?CMOS?[79]
1999KM4132G11232 MbitSGRAM (SDR)Samsung?CMOS?[102]
2002?128 MbitSGRAM (GDDR2)Samsung?CMOS?[103]
2003?256 MbitSGRAM (GDDR2)Samsung?CMOS?[103]
SGRAM (GDDR3)
March 2005K4D553238F256 MbitSGRAM (GDDR)Samsung?CMOS77 mm2[104]
October 2005?256 MbitSGRAM (GDDR4)Samsung?CMOS?[105]
2005?512 MbitSGRAM (GDDR4)Hynix?CMOS?[84]
2007?1024 MbitSGRAM (GDDR5)Hynix60 nm
2009?2048 MbitSGRAM (GDDR5)Hynix40 nm
2010K4W1G1646G1024 MbitSGRAM (GDDR3)Samsung?CMOS100 mm2[106]
2012?4096 MbitSGRAM (GDDR3)SK Hynix?CMOS?[92]
2013??HBM
March 2016MT58K256M32JA8 GbitSGRAM (GDDR5X)Micron20 nmCMOS140 mm2[107]
June 2016?32 GbitHBM2Samsung20 nmCMOS?[108][109]
2017?64 GbitHBM2Samsung20 nmCMOS?[108]
January 2018K4ZAF325BM16 GbitSGRAM (GDDR6)Samsung10 nmFinFET225 mm2[110][111][112]

See also

References

External links

  • Media related to RAM at Wikimedia Commons